Posted on

Silicon Box’s Business Head on how chiplet architecture transforms semiconductor scalability

Silicon Box’s Head of Business Michael Han

Singapore-based Silicon Box enables chiplet architecture, allowing chip designers freedom from the constraints of a single, monolithic chip for processing. By leveraging multiple smaller chips interconnected in a single package, chip designers can create the equivalent of a system-on-a-chip (SoC) in a package.

The company recently raised US$200 million in Series B funding from BRV Capital, Event Horizon Capital, Maverick Capital, and others, intending to reshape the semiconductor landscape. The capital will primarily go into expanding production in its US$2-billion packaging factory in Singapore.

In this interview with e27, Michael Han, Silicon Box’s Head of Business, discusses the impact of capital injection on factory expansion and the opportunities and challenges of the company.

Edited excerpts:

How will this substantial capital injection contribute to Silicon Box’s growth and development plans, especially in expanding your advanced packaging factory in Singapore?

Silicon Box brings effective chiplet integration capabilities through our Singapore factory.

Initial Capex to date focused on building the foundry, hiring, and building the first production lines. Now that we have proven the concept and capability with early customers, this capacity is already fully allocated in 2024, which requires us to expedite the build-out of additional packaging capacity in Singapore to support incoming demand. The Series B funding will enable us to meet and exceed our initial plans for capacity build-out in 2024.

Silicon Box focuses on chiplet architecture to overcome scalability limitations in current semiconductor chips. Could you elaborate on how chiplet integration enhances performance, reduces device sizes, and improves reliability, and how it addresses the challenges faced by conventional packaging approaches?

Silicon Box’s advanced packaging capabilities are not limited to chiplets. It brings the most advanced interconnection necessary for chiplets, at scale, through large format manufacturing.

This allows us to replace legacy packaging solutions such as wafer level fan out and quad fan-out no lead (QFN) to support the deployment of advanced applications that are fully reliant on chiplet architecture but also to bring better performance at low power consumption and cost, to applications such as mobile, automotive, data centres, IoT, RF power amplifiers.

Also Read: Silicon Box bags US$200M to expand production in its US$2B semiconductor factory in Singapore

Chiplet architecture in and of itself, especially as offered by a pure-play packaging specialist such as Silicon Box, allows the semiconductor industry at large to overcome the huge technical and cost implications being faced by traditional manufacturing paradigms based on Moore’s Law (miniaturising system-on-chip/SoC) at the foundry level.

Chiplets allow chip designers to design systems more flexibly using modular components at the most efficient cost and effective performance for that functionality. This will enable huge cost and design cycle efficiencies for these customers.

Chiplets seem to facilitate collaboration between foundries and chip designers. How does Silicon Box envision fostering collaboration within the semiconductor industry, and what benefits does this bring to developing cutting-edge applications?

Chiplets facilitate the integration of various chip types, including chips produced using different process technologies and those sourced from different foundries. Combining diverse technologies in a single package brings improved system-level capabilities, performance, design flexibility and cost benefits. The interconnection method itself also enables system designs to run at high energy efficiency with more advanced performance and reliability.

Chiplets being true to its heterogeneous concept means that you can integrate chips from any technology node and various foundries. As a packaging specialist, Silicon Box can enable this mix-and-match approach more effectively, as proprietary data and IP from the foundries can be maintained through the packaging process.

In the future, foundries can focus on competitive advantages at the chiplet level, focusing on improving modular performance rather than miniaturizing entire systems at the foundry level, which meets its limits regarding scale and performance improvement.

How do Silicon Box’s solutions impact the semiconductor industry’s scalability issues, and what benefits can consumers expect in terms of pricing, device performance, and reliability due to your innovations?

Chiplets can be developed independently and optimised for specific functions. Different chiplets can be mixed and matched and tailored for specific applications. This flexibility in chip design reduces time-to-market and allows for efficient use of resources.

Chiplets and how they are packaged are just one of the many components and factors that go into manufacturing a device. For example, our chiplets and packaging method have reduced thermal output, meaning less power is required to cool the device, which translates to lower energy use. And we bring this benefit to front-end manufacturers.

Also Read: Semiconductor manufacturing nations set for growth as AI takes center stage: Alpha Intelligence Capital CEO

The concept of chiplets is already well accepted across the industry, and the current bottleneck is in advanced packaging capability and capacity. We address this bottleneck in capacity, capability, and stakeholder concerns, allowing the whole ecosystem to align on new goals that will empower the semiconductor industry.

Silicon Box aims to bring scalable solutions globally. What is your strategy for global expansion, and are there specific regions or markets where you see a particularly high demand for your advanced semiconductor packaging services?

In addition to bringing the Singapore factory to full capacity, in light of the current shortage and high demand from the front end, we currently see strong solicitation from various governments to explore replicating our Singapore foundry in various locations.

Since our technology can also empower a broader range of applications with a strong concentration in various regions (automotive in the US/China/Europe and mobile in the US and Asia), there is renewed excitement regarding how chiplets may by various companies and support the industrial agenda and semiconductor aspirations for diverse geopolitical blocs.

Silicon Box aims to cater to next-gen large language models, generative AI, automotive, data centres and mobile computing. Could you share insights into the specific applications and industries where you foresee your technologies’ most significant impact and adoption?

Silicon Box is well poised to solve the unique challenge for chiplets, which are essential to power emergent technologies. Our team of experts with over 30 years of experience, a critical ecosystem of partners, and proprietary interconnection technology will shorten the design cycle of chiplets, lower new device costs, reduce power consumption and enable faster-time-to-market for industry partners involved in areas such as artificial intelligence (AI), data centres, electric vehicles (EVs), mobile, and wearables.

Also Read: How Infineon aims to build better semiconductors with the help of Singapore startups

For AI, large language models and automotive applications such as autonomous driving, our solution is necessary rather than optional. Our solutions will allow these technologies to develop sustainably from an investment, R&D and power efficiency standpoint.

X marks Echelon. Join us at Singapore EXPO on May 15-16 for the 10th edition of Asia’s leading tech and startup conference. Enjoy 2 days of building connections with potential investors, partners, and customers, exploring innovation, and sharing insights with 8,000+ key decision-makers of Asia’s tech ecosystem. Get your tickets here.

Want more from your Echelon experience? Be an Echelon X sponsor or exhibitor. Send enquiry here.

The post Silicon Box’s Business Head on how chiplet architecture transforms semiconductor scalability appeared first on e27.